Sunum yükleniyor. Lütfen bekleyiniz

Sunum yükleniyor. Lütfen bekleyiniz

VHDL Kullanarak FPGA İle Yüksek Kapasİtelİ Tam ÇIkarIcI Devre TasarImI

Benzer bir sunumlar


... konulu sunumlar: "VHDL Kullanarak FPGA İle Yüksek Kapasİtelİ Tam ÇIkarIcI Devre TasarImI"— Sunum transkripti:

1 VHDL Kullanarak FPGA İle Yüksek Kapasİtelİ Tam ÇIkarIcI Devre TasarImI
Kenan BAYSAL Namık Kemal Üniversitesi Bilgi Yönetimi Programı

2 Özet FPGA VHDL Bilgisayar Aritmetiğinde Çıkartma İşlemi
Yüksek Kapasiteli Çıkartma Devresi Tasarımı Sonuç

3 FPGA (Field Programmable Gate Arrays)
FPGA’lar bir donanım tanımlama dili kullanılarak sayısal tasarım yapmaya imkan sağlayan geliştirme platformlarıdır, entegre devrelerdir. Tasarım sırasında büyük esneklik sağlaması ve paralel işlem yapabilme kabiliyeti sebebiyle FPGA kullanımı günümüzde oldukça yaygınlaşmıştır. Altera - Cyclone Xilinx - Spartan

4 FPGA FPGA’yi günümüzde popüler yapan özellikler;
Kullanımının artmasına bağlı olarak fiyatlarının düşmesi Gelişen teknoloji ile birlikte kapasitelerinin artması 1987: 9000 Lojik Kapı 2012: 20 Milyon Lojik Kapı Gelişmiş tasarım programları (Quartus) Örnek uygulamaların kolay erişilebilir hale gelmesi

5 VHDL (VHSIC Hardware Description Language)
VHDL bir donanım tanımlama dilidir. Donanım kelimesi, bir taraftan kişisel bilgisayarlar gibi geniş bir içeriği tanımlamak için kullanılsa da diğer bir taraftan küçük mantık kapıları ve onların içindeki entegre devreleri tanımlamak için de kullanılır.

6 VHDL (VHSIC Hardware Description Language)
ISP (Carnegie Mellon University) KARL (Kaiserslautern University) Verilog HDL (Gateway Design Automation – 1985) VHDL (Very High Speed Integrated Circuit Hardware Description Language – Amerikan Savunma Bakanlığı -1987) AHDL (Altera HDL) RHDL (Ruby HDL) Confluence CUPL (Logical Devices Inc.) Öncü diller Yaygın diller Diğer

7 VHDL & Verilog HDL VHDL Verilog HDL
Daha katı kurallı olan bir dil. Akademik seviye Öğrenmesi ve kod yazması daha hızlı ve kolay Karmaşık devrelerde daha az kapı kullanan derleyici yapısı Karmaşık devrelerde daha fazla kapı kullanan derleyici Yaygın olarak Avrupa ve Japonya Yaygın olarak Amerika ADA programlama dilini baz alan Pascal sınıfında bir dil C’ye yakın syntax yapısı ATI – Nokia NVIDIA - AMD

8 VHDL Donanım tanımlama dilinin en büyük avantajlarından biri de yazılan kodun adım adım çalıştırılabilmesidir. Biçimsel bir modeldeki kodlama hataları ya da sistemdeki kavramsal hatalar benzetim çalıştırılarak bulunabilmektedir. Oluşturulan modeldeki farklı giriş değerlerine göre sistemin verdiği yanıt benzetimle gözlemlenebilir ve analiz edilebilmektedir.

9 VHDL Kod Yapısı LIBRARY TEMEL VHDL KODU ENTITY ARCHITECTURE PROCESS

10 VHDL Kod Yapısı entity and_gate is port( A: in bit, B: in bit,
X: out bit); end entity and_gate; architecture mimari of and_gate is begin X <= A and B; end architecture mimari;

11 Bilgisayar Aritmetiğinde Çıkartma İşlemi
Standart bir bilgisayar işlemcisinde, Birikeç Yazacı (Akümülatör-AC) Toplayıcı ve Mantık devresi Denetim kapılarından İşlenecek veri, kaydedicilerden veri yolu ile buraya aktarılarak işlem süreci gerçekleştirilir. Birikeç (Akümülatör) Yapısı

12 Bilgisayar Aritmetiğinde Çıkartma İşlemi
32 bit bir işlemciye sahip olan standart bir bilgisayar, yazılımsal olarak birçok adımda çıkarma işlemini gerçekleştirir. İşlemcinin veri kapasitesi ile aynı veri boyutu büyüklüğüne sahip iki değerin dahi çıkarma işlemi birçok adımda gerçekleştirilebilmektedir. 32 bitlik iki veriye çıkarma işlemi uygulama algoritması

13 Yüksek Kapasiteli Çıkartma Devresi Tasarımı
VHDL ile Bir Bit Tam Çıkarıcı Devresi

14 Yüksek Kapasiteli Çıkartma Devresi Tasarımı
Bir Bit Tam Çıkarıcı devresinin ModelSim benzetim sonuçları

15 Yüksek Kapasiteli Çıkartma Devresi Tasarımı
N bit Çıkarıcı Devresi Blok Şeması

16 Yüksek Kapasiteli Çıkartma Devresi Tasarımı
“n” bit Tam Çıkarıcı devresi VHDL kod yapısı

17 Yüksek Kapasiteli Çıkartma Devresi Tasarımı
N bit tam Çıkarıcı devresi ModelSim benzetim sonuçları

18 Yüksek Kapasiteli Toplama Devresi Tasarımı
Bir Bit toplayıcı VHDL kodlarının RTL görünüşü Bir Bit Toplayıcı ModelSIM benzetim sonuçları

19 Yüksek Kapasiteli Toplama Devresi Tasarımı
1024 bit toplayıcının ModelSIM benzetim sonuçları

20 Yüksek Kapasiteli Toplama - Çıkarma Devresi Tasarımı
M=0 Toplayıcı M=1 Çıkarıcı Toplayıcı & Çıkarıcı Devrtesi

21 Yüksek Kapasiteli Toplama - Çıkarma Devresi Tasarımı
1024 bit Toplayıcı & Çıkarıcı Devresi ModelSIM benzetim sonuçları

22 Sorunlar & Öneriler FPGA devrelerinin giriş ve çıkış pin sayısı Öneri:
Veri aktarımı için arı bir modül tasarımı Çoklu FPGA kullanımı

23 Sonuç Gerçekleştirilen bu çalışmada, FPGA donanım yapısının esnek ve kolay programlama kabiliyetinden faydalanılarak yüksek kapasiteli çıkarıcı devresi benzetim seviyesinde tasarlanmıştır. VHDL ve FPGA kullanarak Yüksek Kapasiteli Aritmetik Ünite tasarlanabilir. Yüksek Kapasiteli Aritmetik Ünite, Büyük sayılar ile çalışan bazı şifreleme algoritmaları için donanım altyapısı oluşturmak için kullanılabilir. Günümüzde piyasadaki en güçlü PC’den daha güçlü hesaplama kapasitesi sunar. Donanım Tasarım Dili kullanılarak çok daha yüksek kapasiteli aritmetik işlemler için aritmetik ünite tasarlanabilir.

24 Teşekkürler…


"VHDL Kullanarak FPGA İle Yüksek Kapasİtelİ Tam ÇIkarIcI Devre TasarImI" indir ppt

Benzer bir sunumlar


Google Reklamları