Neden donanım kullanıyoruz? Daha hızlı (Performans) Paralel Düşük güç tüketimi Maliyet Boyut Her yere bilgisayar?

Slides:



Advertisements
Benzer bir sunumlar
FPGA ÜZERİNDE İKİ BOYUTLU KONVOLÜSYON İŞLEMİ GERÇEKLENMESİ
Advertisements

VHDL Kullanarak FPGA İle Yüksek Kapasİtelİ Tam ÇIkarIcI Devre TasarImI
Hazırlayan : Erman Üret
Programlanabilir Mantık Tümdevreleri Tasarımı
Ders – 1: Giriş.
Ece Olcay Güneş & S. Berna Örs
VERİLOG HDL Doç. Dr. Mustafa TÜRK.
Doç.Dr. Ahmet ÖZMEN Sakarya Üniversitesi
Doç.Dr. Ahmet ÖZMEN Sakarya Üniversitesi
SAYI SİSTEMLERİ-HESAPLAMALAR
Karşılaştırıcı ve Aritmetik İşlem Devreleri
/ 151 EğitimYöntemleri Şifa Üniversitesi Sağlık Bilimleri Yüksekokulu Eğitici Eğitimi Kursu Eylül 2015.
Algoritma.  Algoritma, belirli bir görevi yerine getiren sonlu sayıdaki işlemler dizisidir.  Başka bir deyişle; bir sorunu çözebilmek için gerekli olan.
BİBLİYOGRAFYA (Bibliography) Tanım: Kitapları, özellikle fiziksel özellikleri ve içeriklerine göre niteleme sanatı; kitapların fiziksel nesneler ve.
SAYISAL DEVRELER BÖLÜM-2 Sayı Sistemleri ve Kodlar

Lojik Kapılar ve Lojik Devreler (Logic Gates And Logic Circuits)
DONANIM VE YAZILIM.
Momentum Terimi Momentum terimi Bu ifade neyi anımsatıyor? Lineer zamanla değişmeyen ayrık zaman sistemi HATIRLATMA.
MED 167 Making Sense of Numbers Değişkenlik Ölçüleri.
BSE 207 Mantık Devreleri Sayı sistemleri Sakarya Üniversitesi.
İSTANBUL TEKNİK ÜNİVERSİTESİ ♦ ELEKTRONİK & HABERLEŞME MÜHENDİSLİĞİ x1x1 x2x2 xmxm 1 w1w1 w2w2 wmwm w m+1 v y Hatırlatma.
O R T L G İ M A A Ve Problem çözme.
Çok Katmanlı Algılayıcı-ÇKA (Multi-Layer Perceptron)
HAZIRLAYANLAR ZELİHA OKÇU ÖZGÜL ERGÜL  Bir hesap tablosu programıdır. Excel, her türlü veriyi (özellikle sayısal verileri) tablolar ya da listeler halinde.
ÜRÜN SENARYOSU HAZIRLAMA: STORYBOARDING SEÇİL TOROS 2016.
Bölüm 3 : Yapay Sinir Ağları (MatLab) Artificial Neural Network
BİLGİSAYAR PROGRAMLAMA DERSİ
Ders notlarına nasıl ulaşabilirim
MESLEĞE YÖNELTME SEMİNERİ
EĞiTiMDE iLETiŞİM VE EĞiTiM ARAÇLARI
İÇİNDEKİLER NEGATİF ÜS ÜSSÜ SAYILARIN ÖZELLİKLERİ
Bölüm 2: Bir Boyutta Hareket. Bölüm 2: Bir Boyutta Hareket.
PROGRAMLAMAYA GİRİŞ VE ALGORİTMA
Okul Deneyimi Dersi Eser Çeker (Uz.).
Sayı Sistemleri.
Bilgisayar Donanım ve Sistem Yazılımı
PROGRAMLAMA TEMELLERİ
Bilgi ve İletişim Teknolojileri
SUNUM PROGRAMLARI (Bölüm 2)
TEMEL GEOMETRİK KAVRAMLAR VE ÇİZİMLER
BİLİŞİM TEKNOLOJİLERİ ALANI
RESİM VE RESİM DÜZENLEME İŞLEMLERİ
Nerede O Mis Gibi Taze Kitap Kokusu?
“Bilgi”’nin Gösterimi “Bilgi” İnsan veya Makina Yorumlama Öngörme
Donanım Tabanlı Dijital Para Birimi Madenciliği
Bilgisayar Donanım ve Sistem Yazılımı
DERS 1 Gömülü Sistemlere Giriş
Bilgisayarlara komut verme Remzi ÖZ
Eğiticisiz Öğrenme Hatırlatma
Bilgisayar Mühendisliğine Giriş
KUVVET, MOMENT ve DENGE 2.1. Kuvvet
Bilgisayar Mühendisliğine Giriş
Arduino Programlama Dili
Tolga Ayav, K.Atilla Toker İzmir Yüksek Teknoloji Ensitütüsü
SAYI ÖRÜNTÜLERİ ANAHTAR KAVRAMLAR MODELLEME ÖRÜNTÜ SAYI ÖRÜNTÜSÜ ÜS
Bilgisayar Mühendisliğine Giriş
ÜNİVERSİTEDE YABANCI DİL ÖĞRETİMİNDE İNTERNET KULLANIMINA İLİŞKİN ÖĞRENCİ GÖRÜŞLERİ Mehmet AKSÜT Nihat ÇAKIN 
Doğrusal Mantık Yapısı İle Problem Çözme
Örnek: Dışarıdan rast gele girilen 10 tane sayıdan kaç tanesi tek ve kaç tanesi çift ayrıca tekleri ve çiftleri ayrı ayrı toplayan programı yazınız. var.
LOJİK KAPILAR (GATES) ‘Değil’ veya ‘Tümleme’ Kapısı (NOT Gate)
Bilgisayar Mühendisliğine Giriş
Sonlu Özdevinirlere Giriş
İşlemciler.
VERİLOG-Always.
Prof. Dr. Eşref ADALI Doç. Dr. Şule Gündüz Öğüdücü Sürüm-B
EBSCO eBooks Online Kullanım Kullanıcı Kılavuzu support.ebsco.com.
SINAVLAR VE DEĞERLENDİRME. SINAVLAR VE DEĞERLENDİRME.
Problem Homework-06 In the control system shown above, R(s) is the reference input and C(s) is the output. Write the Matlab code to draw the Bode.
EBSCO eBooks Online Kullanım Kullanıcı Kılavuzu support.ebsco.com.
Sunum transkripti:

Neden donanım kullanıyoruz? Daha hızlı (Performans) Paralel Düşük güç tüketimi Maliyet Boyut Her yere bilgisayar?

FPGA Nedir? Field Programmable Gate Array Ne işe yarar? Yapısı? Giriş çıkış birimleri Hesaplama birimleri Kombinezonsal devre tasarımı Ardışıl devre tasarımı

FPGA üzerinde nasıl devre oluşturacağız? Xilinx ISE HDL: Hardware Description Language – Verilog – VHDL Verilog için kitap: FPGA Prototyping by Verilog Examples – Pong P. Chu VHDL için kitap: Circuit Design with VHDL – V.A. Pedroni

X X X X W1(7:0) W2(7:0) W3(7:0) W4(7:0) + x1 x2 x3 x4 (7:0) (14:0) (17:0) y Perceptron Test Devresi Sadece bir test devresi ne kadar anlamlı?

İşaretli Ondalık Sayıları İkili Tabanda Nasıl Temsil Edeceğiz? İşaret biti Tam sayı kısmı Kesirli Sayı 0_1101_010 Pozitif Sayı =+(1*2 0 +1*2 2 +1*2 3 +1*2 -2 )=13,25 1_0010_101 Negatif Sayı 2’ye tümleyenini alalım: 1_0010_101^1111_ =0_1101_010+1=0_1101_ =-(1*2 0 +1*2 2 +1*2 3 +1* *2 -3 )=-13,375

Peki çarpma sonrasında ne oldu? İşaret biti Tam sayı kısmı Kesirli Sayı 0_1101_010*0_1101_010=0_ _ Nasıl Yaptık? Oluşan sayı kaç bit?

Full Adder Yapalım AB Full Adder Cin Cout S Cout= (A.B) + (Cin (A^B)) S= A^B^Cin O zaman exor, and ve or’a ihtiyacımız var

AND module AND( input in1, input in2, output o ); assign o = in1 & in2; endmodule

OR module OR( input in1, input in2, output o ); assign o = in1 | in2; endmodule

EXOR module EXOR( input in1, input in2, output o ); reg res; begin if ((in1 == 1 && in2 == 0) || (in1 ==0 && in2==1) ) begin res <= 1'b1; end else begin res <= 1'b0; end assign o = res; endmodule

Full Adder module FullAdder( input A, input B, input Cin, output S, output Cout ); wire ResExor1; EXOR exor1 (A,B,ResExor1); EXOR exor2 (ResExor1,Cin,S); AND and1 (A,B,ResAnd1); AND and2 (ResExor1,Cin,ResAnd2); OR or1 (ResAnd1,ResAnd2,Cout); endmodule

Yazdıklarımız Doğru mu Peki? Isim Simülatörünü kullanacağız

Ödev? Size verilen çarpma ve toplama bloklarını istenilen işi yapacak biçimde birbirine bağlayın Ağırlıkları oluşturun (reg kullanarak) ve MATLAB ile bulduğunuz değerleri atayın Aktivasyon fonksiyonunu kendiniz oluşturup toplama bloğu ve çıkışa bağlayın

Peki FPGA ile her şey güzel mi? Tabii ki değil!! FPGA’in kaynakları sınırlı Kendi içinde sadece 4 tane fiziksel olarak hazır çarpma bloğu var ve hepsini kullandık. Ya daha fazla boyut isteseydik? Device Utilization Summary (estimated values)[-] Logic UtilizationUsedAvailable Utilizati on Number of Slices % Number of 4 input LUTs % Number of bonded IOBs336650% Number of MULT18X18SIOs 44100%

Peki ya tasarım sonrası? CMOS teknolojisi IC tasarımı