Sunum yükleniyor. Lütfen bekleyiniz

Sunum yükleniyor. Lütfen bekleyiniz

Buse Ustaoğlu Ahmet Çağrı Bağbaba İnan Erdem Berna Örs Yalçın

Benzer bir sunumlar


... konulu sunumlar: "Buse Ustaoğlu Ahmet Çağrı Bağbaba İnan Erdem Berna Örs Yalçın"— Sunum transkripti:

1 Buse Ustaoğlu Ahmet Çağrı Bağbaba İnan Erdem Berna Örs Yalçın
SERİ ÇEVRESEL ARAYÜZÜ İÇİN EVRENSEL DOĞRULAMA YÖNTEMİ İLE TEST ORTAMI OLUŞTURULMASI Buse Ustaoğlu Ahmet Çağrı Bağbaba İnan Erdem Berna Örs Yalçın 1

2 İÇERİK GİRİŞ SERİ ÇEVRESEL ARAYÜZÜ DOĞRULAMA
EVRENSEL DOĞRULAMA YÖNTEMİ SERİ ÇEVRESEL ARAYÜZÜ TEST ORTAMI SİMÜLASYON ORTAMI SONUÇLAR 2

3 GİRİŞ TASARIM DOĞRULAMA GEREKLİLİK
Donanım tanımlama dilleri ile tasarlanan sayısal devreler günden güne yaygınlaşmakta ve karmaşıklığı artmaktadır. GEREKLİLİK Devrelerin testlerinin yapılıp tasarım açıklarının bulunması ve güvenilir olarak gerçeklenmesi gerekmektedir. DOĞRULAMA Bu sebeple günümüzde standartlaşmış ve yaygın olarak kullanılan Evrensel Doğrulama Yöntemi ile bu tasarımlar için doğrulama ortamı hazırlanır.

4 Seri Çevresel Arayüzü Genel Özellikler Avantajları
Sayısal tümdevrelerin seri haberleşmeleri için geliştirilmiş haberleşme protokolüdür. National Semiconductor firmasının tescilli ticari markasıdır. Avantajları Basit bir donanım arayüzü 8 bit veri transferi Veri hatlarının paylaşılması Dezavantajları Sadece kısa mesafeli haberleşme Standart olmayan bir protokol Geri beslemenin olmaması 4

5 Seri Çevresel Arayüzü Veri İletişim Hatları SS MOSI
Uydu seçme Ana çıkış uydu giriş (Slave select) (Master Out Slave In) SCK MISO Saat sinyali Ana giriş uydu çıkış (Serial Clock) (Master In Slave Out)

6 Seri Çevresel Arayüzü Veri İletimi ANA UYDU
İki adet ötelemeli kaydedici ile dairesel döngü şeklinde gerçekleşir. ANA UYDU

7 Seri Çevresel Arayüzü Çalışma Modları SPI Modu CPOL CPHA 1 2 3
4 ayrı çalışma modu Saat kutuplaması Saat fazı SPI Modu CPOL CPHA 1 2 3

8 koyma süreci ile paraleldir.
Doğrulama Amacı Yapılan tasarımın istenildiği gibi çalıştığından emin olmak için yapılan işlemler bütünüdür. Sayısal sistemlerde doğrulama işlevi, test kodları yazmak, test ortamı oluşturmak ve testi bir benzetim aracı ile çalıştırmaktır. Süreç tasarım ortaya koyma süreci ile paraleldir.

9 Açık kaynak kodlu, sınıf kütüphanelerinden oluşur, dili SystemVerilog.
Evrensel DoğrulamaYöntemi Genel Özellikler Accelera Girişim Sistemleri tarafından var olan doğrulama sistemlerinin birleştirilmesiyle geliştirilmiş bir standart. Sayısal donanımların işlevsel doğrulamasının yapılması için temel olarak simülasyonları kullanır. Açık kaynak kodlu, sınıf kütüphanelerinden oluşur, dili SystemVerilog. Sunduğu Temel Sınıf Kütüphaneleri sayesinde var olan doğrulama sistemleri derli toplu bir hal almıştır.

10 Evrensel DoğrulamaYöntemi
SystemVerilog ve UVM SystemVerilog Donanim tanimlama Donanim doğrulama Nesne yönelimli Yeni veri türleri Rasgelelilik ve kısıtlama Doğrudan programlama arayüzü Az kodla çok iş UVM SV Sınıf Kütüphanesi Ön tanımlı Doğrulama Bileşenleri Ön tanımlı test kodlarıİ Mentor Graphics, Cadence,Synopsys İşlem Seviyesi Doğrulama Tekrardan kullanılabiliirlik Öğrenimi zaman alıcı, geri dönümü yüksek

11 Evrensel DoğrulamaYöntemi
Test Düzeneği

12 Evrensel DoğrulamaYöntemi
Doğrulama Elemanları

13 Evrensel DoğrulamaYöntemi
Doğrulama Fazları İnşa Fazı Bağlama Fazı İşletme Fazı Raporlama Fazı

14 Seri Çevresel Arayüz Test Ortamı Doğrulama Adımları
SPI_sıralayıcı Test Ortamı Koşma SPI_ajan İşlemler SPI_sürücü SPI_monitör SPI_arayüz Bağlama TET Yapılandırma Analiz ve Sınama Birimi Raporlama Sayı Tahtası

15 Seri Çevresel Arayüz Test Ortamı
Test Düzeneği Tasarım Birimi UVM Düzeneği Karşılığı SystemVerilog Kodu Karşılıkları top test üst modülü top.sv spi_arayüz arayüz spi_if.sv spi_uydu TET spi_slave.sv dut0-dut1-dut2-dut3 test_bayt test_kelime test_çift_kelime uvm_test testByte.sv testWord.sv testDoubleWord.sv spi_ajan uvm_ajan spi_ajent.sv spi_görünteleyici uvm_görünteleyici spi_monitor.sv spi_sürücü uvm_sürücü spi_driver.sv spi_sıralayıcı uvm_sıralayıcı spi_sequencer.sv spi_sıra_ögeleri uvm_sıra_ögeleri spi_seq_lib.sv

16 Simülasyon Ortamı Hiyerarşik Görünüm QuestaSim ortamı
4 SPI uydu devresi Çalışma modu 0 İşlem seviyesi analiz

17 Simülasyon Ortamı Bayt, Yarı-Kelime, Kelime Erişimi
Birinci uydu Bayt erişimi Mesaj: 8’d0 İkinci uydu Kelime erişimi Mesaj: 16’d1 Üçüncü uydu Çift kelime erişimi Mesaj: 32’d2 17

18 SONUÇLAR SPI uydu devresi donanım gerçeklemesi Sayısal devrelerin haberleşmeleri için yaygın olarak kullanılan seri çevresel ara yüzü donanım tanımlama dilleri ile gerçeklenmiştir. UVM ile SPI test ortamı oluşturulması Seri çevresel ara yüzü için evrensel doğrulama yöntemi elemanlarına karşılık gelen test elemanları SystemVerilog kodları ile yazılmıştır. UVM yeniden kullanılabilirlik özelliği ile SPI farklı testler uygulanması Seri çevresel arayüzü için kelime ve çift kelime erişimi testleri bayt ata sınıfı testinden miras alınarak türetilmiştir. Dört uydu devresine gönderilen mesajların simülasyonu yapılarak pin seviyesinin bir üst seviyesi olan işlem seviyesinde grafikler elde edilmiştir. QuestaSim ile simülasyon çıktılarının alınması

19 TEŞEKKÜR Bu çalışmayı destekleyen Anka Mikroelektronik Sistemler’e
(ANKASYS) teşekkürlerimi sunarım. 19

20 DİNLEDİĞİNİZ İÇİN TEŞEKKÜRLER…
SORULARINIZ? DİNLEDİĞİNİZ İÇİN TEŞEKKÜRLER…


"Buse Ustaoğlu Ahmet Çağrı Bağbaba İnan Erdem Berna Örs Yalçın" indir ppt

Benzer bir sunumlar


Google Reklamları