VERİLOG-Always.

Slides:



Advertisements
Benzer bir sunumlar
Kontrol Çevrimleri FOR WHILE DO-WHILE IF-ELSE SWITCH-CASE-DEFAULT
Advertisements

VERİ TABANI YÖNETİMİ Ders 11: PL/SQL’e Giriş
ALGORİTMA ÖRNEKLERİ Programlamaya Giriş
Ders – 1: Giriş.
DEĞİŞKENLER, VERİ TÜRLERİ VE OPERATÖRLER
Ece Olcay Güneş & S. Berna Örs
FONKSİYONLAR İbrahim Onur Sığırcı.
RAISE RECORD/CURSOR TRIGGER
Bölüm 7 - Diziler İçerik 7.1 Giriş 7.2 Diziler 7.3 Dizileri Tanımlama 7.4 Dizileri Kullanan Örnekler 7.5 Referanslar ve Referans Parametreler.
Procedure ve Function PASCAL’da
VERİLOG HDL Doç. Dr. Mustafa TÜRK.
Doç. Dr. Cemil Öz SAÜ Bilgisayar Mühendisliği Dr. Cemil Öz.
Doç.Dr. Ahmet ÖZMEN Sakarya Üniversitesi
OTO
Algoritma.  Algoritma, belirli bir görevi yerine getiren sonlu sayıdaki işlemler dizisidir.  Başka bir deyişle; bir sorunu çözebilmek için gerekli olan.
Veri Tabanı Yönetim Sistemleri 2 Ders 5 PL/SQL'e Giriş, Prosedür ve Fonksiyonlar Yrd. Doç. Dr. Altan MESUT Trakya Üniversitesi Bilgisayar Mühendisliği.
BİLGİSAYAR PROGRAMLAMA MATLAB Yrd.Doç.Dr. Cengiz Tepe.
Neden donanım kullanıyoruz? Daha hızlı (Performans) Paralel Düşük güç tüketimi Maliyet Boyut Her yere bilgisayar?
Bağlama ve Kapsam Kavramları
BSM208 PROGRAMLAMA DİLLERİNİN PRENSİPLERİ
BSM208 PROGRAMLAMA DİLLERİNİN PRENSİPLERİ Dil Çevrimi (Hafta4) 1.
Bölüm 3 : Yapay Sinir Ağları (MatLab) Artificial Neural Network
Veri Tabanı Yönetimi Dersi 1. Laboratuvarı Arş. Gör. Pınar CİHAN.
BİLGİSAYAR PROGRAMLAMA DERSİ
DİYOT & MODÜL DİYOT & DOĞRULTUCULAR
Printf Bu komut ekrana çıktı veren komuttur. Pascalda kullanılan writeln komutuyla aynı anlamdadır.
Ders Adı: Sayısal Elektronik
Flip-Floplar BÖLÜM 6.
Veri Tabanı Yönetimi Dersi 7. Laboratuvarı
Delphi Ders3 Asli Ergün.
Mekatronik Mühendisliği
Ders Adı: Sayısal Elektronik
Vize Sorusu Klavyeden girilen N adet sayıyı List1 nesnesinde gösteren ve bu sayıların toplamını ve ortalamasını Text1 ve Text2 nesnesinde yazdıran.
© Marmara Üniversitesi Uzaktan Eğitim Uygulama ve Araştırma Merkezi
Mikroişlemcili Sistemler ve Laboratuvarı
ÖZDEŞLİKLER- ÇARPANLARA AYIRMA
C Programlama Yrd.Doç.Dr. Ziynet PAMUK BMM211-H05
MAT – 101 Temel Matematik Mustafa Sezer PEHLİVAN *
Delphi Ders5 Asli Ergün.
C PROGRAMLAMA DİLİNE GİRİŞ
Öğr. Gör. Mehmet Ali ZENGİN
TETİKLEYİCİLER ve HATA KONTROLÜ
Ders 7 Arduino Genel Amaçlı Giriş-Çıkış Bacakları
ASAL SAYILAR Nilsu Yurtseven
Electude Kullanımı ile İlgili Bilgiler
© Marmara Üniversitesi Uzaktan Eğitim Uygulama ve Araştırma Merkezi
3- VERİ TİPLERİ - OPERATÖRLER Nesne Yönelimli Programlama - i
3.hafta METODLAR.
Bilgisayar Programlamasına ve Veri Analizine Giriş
DÖNGÜLER.
Döngüler ve Shift Register
Bölüm 7 İfadeler ve atamalar.
BİLGİSAYAR programlama II
Bilgisayar Mühendisliğine Giriş
© Marmara Üniversitesi Uzaktan Eğitim Uygulama ve Araştırma Merkezi
Arduino Programlama Dili
MİKROİŞLEMCİLER VE MİKROBİLGİSAYARLAR
Fortran Proglama Dili’nin Esasları
FONKSİYONLAR İbrahim Onur Sığırcı.
Örnek: Dışarıdan rast gele girilen 10 tane sayıdan kaç tanesi tek ve kaç tanesi çift ayrıca tekleri ve çiftleri ayrı ayrı toplayan programı yazınız. var.
RAISE RECORD/CURSOR TRIGGER
Program Deyimlerinin Yazılması
6. DÖNGÜ (TEKRARLAMA) DEYİMLERİ
Bilgisayar Bilimi Fonksiyon Yazma.
Değerler ve Değişkenler
BLM-111 PROGRAMLAMA DİLLERİ I Ders-10 Diziler
(Dr. Öğr. Üyesi Deniz Dal)
MTM216 GÖRSEL PROGRAMLAMA
VERİTABANI YÖNETİM SİSTEMLERİ 6-SQL Server-3-DDL
Kararların Modellenmesi ve Analizi Ders Notu III
Sunum transkripti:

VERİLOG-Always

Verilog Veri Türleri Data Values: Value : 0,1 Not Care: x High–impedance : z Wire Used for connecting two points. Used in structural code Synthesizes into wires Reg Used for storing values. Used in procedural code May synthesize into latches, flip-flops or wires Input, Output, inout Defines ports of a module (wire by default) Yazmaç Veri Türleri integer : 2-complement 32 bit signed reg : any size, unsigned time : 64-bit unsigned real, realtime: 64-bit floating point

Veri Türleri Örnekleri wire and_gate_output; reg d_flip_flop_out; reg [7:0] address_bus; reg [31:0] a; // 32 bit wide reg wire [7:0] b; // 8 bit wide wire wire temp; // 1 bit net type signal

Verilog-Procedure-Davranışsal Yapıda Modüller istenen sayıda procedure içerebilir. Procedur’ler iki tür blok ile ifade edilirler: 1-initial: Sadece bir kez işlenir. Sentezlenebilir değildir. Simulasyon için kullanılır. 2-always : Sonsuza kadar işlenir. Sentezlenebilir. Bu bloklarda yalnızca reg tipi sinyallere değer atanabilir.

Verilog-Always Davranışsal tarzda, tasarlanmak istenen sistemin davranışı «always» blokları içinde ifade edilerek yapılabilir. Always içerisinde sadece reg tipinde sinyallere atama yapılabilir. wire türlerine atama yapılamaz! Bir duyarlılık listesine sahiptir Duyarlılık listesindeki herhangi bir değişken değiştiğinde her zaman işlenir. Verilog 2001‟de «@(*)» ile blok içindeki referans edilen tüm sinyaller duyarlılık listesine dahil edilebilir. İçerisinde “=” seri(blocking) ve “<=” paralel(blocking) atamaları yapılabilir. İçerisinde if/else, case gibi procedural yapılar kullanılabilir.

Verilog – Bloklu Atama “=” ile gerçekleştirilir Bloklu atamalar sıralı gerçekleştirilir. Örnek: always @(*) begin b = 0; c = 0; b = a + a; c = b + a; d = c + a; end

Verilog – Bloksuz Atama “<=” ile gerçekleştirilir. İşlemler paralel bir şekilde aynı anda yapılır. Örnek: always @(*) begin b <= 0; c <= 0; b <= a + a; c <= b + a; d <= c + a; end Bu örnek sentezlemede hata verir. Aynı sinyal(b,c) birden fazla sürücüye sahiptir.

Verilog – Yapısal module full_adder (input A, B, CI, output Sum, Cout) ; wire S1, C1, C2; half_add HA1 (A, B, S1, C1); half_add HA2 (S1, CI, Sum, C2); or P1 (Cout, C1, C2); endmodule

Verilog – Synchronous Logic Saat sinyalinin yükselen kenarı: posedge düşen kenarı: negedge Örnek: D-Flip Flop module DFF (input d,clk, output reg q); always @(posedge clk) begin q <= d; end endmodule